2005/12:
2005/11: 2005/10: 2005/09: 2005/08: 2005/07: 2005/06: 2005/05: 2005/04: 2005/03: 2005/02: 2005/01: 2004/12: 2004/11: 2004/10: 2004/09: 2004/08: 2004/07: 2004/06: 2004/05: 2004/04: 2004/03: 2004/02: 2004/01: 2003/12: 2003/11: 2003/10: 2003/09: 2003/08: 2003/07: 2003/06: 2003/05: 2003/04: 2003/03: 2003/02: 2003/01: 2002/12: 2002/11: 2002/10: 2002/09: 2002/08: 2002/07: 2002/06: 2002/05: 2002/04: 2002/03: 2002/02: 2002/01: 2001/12: 2001/11: 2001/10: 2001/09: 2001/08: 2001/07: 2001/06: 2001/05: 2001/04: 2001/03: 2001/02:いまから帰省しまっす。忘れ物しないように指さし確認:
なんだよ、結局食いに帰るだけかよ。
今年は電車でかえりまっす。天気悪そうなので、帰省ついでに都民の森にいっ たりはしません。
3人の卒業レポートをひとりで直して返却、というサイクルがディレイ無しで まわっているよ。きみら 3 クロックに 1 回提出か。VMP かよっ! (ひとりボ ケツッコミしかも内輪ネタ。そこの知りたがりのきみ、『VMP』だけでググッ ても出てこないぜっ!)
2 人目のきみのぶんは、今日中に 4 サイクル目を郵送するよ。3人目のきみに は素敵な年賀状をプレゼントさっ。
あ、そういえば納期を守らない駄目プログラマー 2 名からも今日明日中にお 届け物があるはずでは、、、と、プレッシャーをかけてみる。
ヲタのすくつにお仕事にいったよ。本郷にいろんな店が出来ていてびっくりし たよ。ラーメン屋さんがいっぱいあったよ。キャンパス内にコンビニエンスス トアとコーヒー屋さんができていたよ。学長、ぜひ弊社にもせめて犬の餌より はまともな学食を!
明太子のために買った韓国唐辛子がたくさん余ったので、白菜キムチをつくっ たよ。簡単なのに激しく旨いよ。白菜を塩漬けにして唐辛子と葱とにんにく、 その他適当に余り物 (いか塩辛とか昆布とか) を混ぜて4〜5日放置するだけ。 スーパーで売ってるやつは、どうやればあんなにまずくなるのですか? 教えて 先生!
近所にまともな酒屋をはっけんした。味醂を買いに池袋まで出かける必要が無 くなった。赤羽のレベルが1あがった。
飲酒用の酒は自分で買わなくてもあそことかあそことかあそこの人達が用意し てくれるのでもともと困っていなかったのですが、味醂と料理用の酒を確実に 入手できる店が欲しかったんです。酒はよく分からないので地元っぽい純米酒 のなかで極端に高くはないのを適当に勘で選んでみました。ラベルに神亀って 書いてありますけど。もしこれが大幅に間違った選択だったらその旨ご指摘頂 ければ幸いです。> あそことかあそことかあそこの人達
お詫びと訂正のお知らせ:
2005/12/01の肉桂に、高野さん (実名)
に関する誤った表現が見受けられました。下記のように訂正させて頂きます。
読者の皆様に多大な迷惑をおかけしましたことを謹んでお詫び申し上げます。
誤) 1年のうちおきなわ1週間前にしか練習しない 正) 1年のうちおきなわ前の1ヶ月しか練習しない
あ、あなた今、「どっちでも大して変わんねーよ」って思いましたね? 思って ない? いや、思ったはずです。ひとが頑張っているというのに何てひどいこと を。僕もそう思いますが。
なにかと飲み食いごとの多い季節ですから、今晩くらいは軽い食事で済ませよ うとなじみの店*1に入り、マスターにいつものやつ*2 を頼みました。ほどなくテーブルの向かいのお嬢さん*3から声が かかりました。適当にお相手をしているうちに、お酒をご馳走してくれる運び となりました。せっかくの好意を無駄にするわけにもゆかないので結局カクテ ル*4一杯だけお付き合いしてしまいました。美しい女性がみな僕 のことを放っておいてくれないのが、最近の最も大きな悩みです。
*1) トーキョウシティ北部の有名なダイニングキッチン、まるます屋。 *2) ライスハーフパイントとミソスープ。 *3) 推定年齢 20 歳 (32 進法表記)。 *4) 米ベースの温かいカクテル。ひょうたん型のグラスで供される。
腰振る、、、じゃないや星降る夜のクリスマス、皆さんあんなことやそんなこ とに元気に励まれましたでしょうか*1。 僕も頑張ってあんなもの*2やこんなもの*3、さらには そんなもの*4まで仕込んでしまいました。充実した日でした。
*1) 10 月末産まれの君がこの世に誕生したのはキリスト樣のおかげです。 *2) 初回作の失敗を踏まえた辛子明太子第二弾。 *3) 肉味噌。苦労のわりに旨くなかった明太子に比べ、20分で出来たくせに大変旨いす。 *4) 卒業論文 3 人前。来年は 9 人ぶんらしいので母島へ逃亡しようと思います。
例のあれはこれっす (なんだそりゃ)。フランス産まれだけどイタリヤ 人らしいす。
仕事ニモマケズ 練習ニモ体重計ニモマケヌ 強固ナ意志ヲモチ 遠慮ハナク 決シテ妥協ヲセズ 北デ御飯ガオイシク炊ケタトキケバ 行ッテ手製ノ塩辛ヲ要求シ 西ニ良イ鯛ガ入レバ 行ッテイタリヤ料理ヲ作ラセ 週末ニ宴会ガアルトキケバ 仕事ヲ早退シテ辛子明太子ヲ漬ケル ヒトリノトキハ渡邊デ飲ミ サムサノハルハ母島デ寝テクラシ ミンナニ暇人ハイイヨナト言ワレ 昇級モセズ 入賞モセズ サウイフ駄目人間ニ ワタシハナリタイお、きょうの肉桂はちょっと痴的。
わき腹のあたりに結構な量の肉はっけん。新シーズン幕開けとともに開始した 腹斜筋トレの成果が早くもあらわれてきたようです。妙にブヨブヨした筋肉で すが。まぁ良い筋肉はやわらかいって言うし、きっと質の高いトレを行えてい る証なのでしょうでぶ。56.0kg
まったくここのインターネットは峠に行けない季節になったら食い物の話しば かりですね。塩辛は明後日完成の見込みです。塩豚は面倒くさそうなので真似 しません。作って持ってきて下さい。
今日の夜はわけあって都心に出かけました。秘密ですがパンを買いに行きまし た。内緒ですが帰りに新宿に寄りました。おまえ他の店しらねーのかよという 感じですが渡邊で晩飯を食いました。混んでいてしかも煙草厨だらけだったの で退散しようかと思いましたが、奇跡的に良い席に案内されました (図 1)。
人 煙 煙 人 壁+-----------+ 煙 壁| | 煙 壁| DMZ | 煙 壁| | 煙 壁+-----------+ 煙 私 FW 煙 煙 凡例 人: 真人間 FW: firewallとして機能中の真人間 煙: 煙草厨 DMZ: demilitarized zone 壁: 壁すなわち wall 図 1: 渡邊 LAN の構成概略
ここで働いている方々はみな、見た目バイトのねーちゃんぽくて全然駄目そう ですが、なぜか機敏に動けてよく気もききます。おかげさまでいつも気持ちよ く飯食わせて頂いております。ありがとうございます。
とかいうふうに店のサービスを批評しはじめたらおっさん初級。
酒を飲みつつ料理を待っていると、目の前の脂っこいおっさん二人がヤニくら いながらせいろを蕎麦猪口内に2〜3分ほど放置し、忘れた頃にモゴモゴと口に 押し込んでいる光景が目に入って卒倒しそうになりました。
とかいうふうに他人の食い方が気になるようになったらおっさん中級。
大ぶりな牡蠣の天ぷら3つに大根おろしと小葱と生姜がかかって 550 円でした。 他にきのこのおひたしと、蓮根のきんぴら、重ねを食って、酒のんで計 2800 円でした。重ねは季節のせいか、普段よりしっかりした蕎麦でした。薬味の葱 はあいかわらず切れ味がするどかったです。
とかいうふうに値段の妥当性をいちいち検討するのは厨房。
総括するとつまり超ラッキーって感じでした。そして明日は入試なので超アン ラッキーって感じです。もう寝ます。
今年の冬は寒い。清瀬だけじゃない。赤羽でも寒い。間違いない。引っ越して からの2年間、ホットカーペットだけで特に不自由していなかったのだが、今 年は不自由しまくり。家の中は寒くて耐えられない。しかたないからローラに乗る。
乗り終わってしばらくしたら、また寒くなった。イライラして仕事したくなく なるくらい寒い。暖かければ仕事しまくりだったはずなんだけど残念だ。てい うか食い続けてないと寒くて生存していられない。このクソ寒い中でさっきか ら平然とゼルダ (不思議の帽子) やり続けてるあの人は何なんでしょう。
いいかげん暖房器具を導入すっぺ。というわけで窓際にダンボールを立てかけ てみたら、かなり暖かくなった。いや、だから暖房とダンボールをですね、まぁ いいや。
来週もこの寒さだったら電気仕掛けの暖房器具を購入してしまいそうなヨカーン。
ボーナス出たす、、、50g で 26250 円 か、、、言い換えると、小さじ一杯で約 2000 円、あるいはミニ羊羹 1 本ぶんで 34125 円、あるいは好きなだけ食ったら一食30万円、あるいは2人で 好きなだけ食ったら一食で BMC のカーボンナノチューブフレーム、、、
compote fresh_dessert pork( sorbet_bitter_vanilla: in steamed_loin_vinegar(7 doughnuts ◎);
あぁ何だかおいしそうなコードだよ、、、
component ref_design port( slv_bytevalid : in std_logic_vector(7 downto 0);
、、、ハッ、幻覚か。まずいな、、、
在庫管理のミスにより、研究室の貯蔵庫からうっかり食料を切らしてしまいま した。辺境のこの地における冬季の燃料切れは、すなわち死を意味するのです。 もう帰ろっと。
しかしいつ使ってもしょーもない仕様の言語だな。とくに同じこと 3 箇所に 書かなきゃならないのと、項目を列挙した時に末尾だけ特別扱いしなきゃなら ないの↓
component sgx125_phyx4 port ( clk100 : in std_logic; clk125out : out std_logic; (中略) rxelecidle3 : out std_logic; rxstatus3 : out std_logic_vector(2 downto 0)); ← こういうやつ end component;
が最悪だと思いませんか > 全世界 50 億人の VHDL ユーザの皆様。
とか文句言いいながら改善策も考えずに 10 年近く使い続けているわたしも悪 いんですすみません。
悪いといえば、マイナーバージョンアップのたびにインタフェースはおろか 階層構造まで大幅変更しやがられる極西の会社もかなりアレですが、質問する と 7 時間の時差をものともせずに瞬時に返事が返ってくるので、まあいっか と許してしまう寛大なわたくしであった。
もともと結論がどっちに転んでもどうでもよいような会議なうえに「結論は出 なくてもいいからみんなで意見を出し合って民主的な話し合いをしよう」とか 考えてる人が議長になっちゃったりすると、もうどうしようもないね。俺達の 時給いくらか知ってんのかね。さいわいうちの学科にはそういう会議はない んだけどね。ていうかうちの学科長はとても優秀なんだよね。奇跡的だね。学 科長のいっこ上から理事長までに関しては言明を避けるけどね。スパイがいる かも知れないからね。
だから (不要な接続詞) 全学の会議は嫌なんだよね (口語表現)。こんだけ (パラグラフをまたいだ代名詞の使用) 時間があれば定峰まで往復できたよな (口語表現)。くそ (前文との関係が不明確)。
そういえば光学迷彩で思い出したんだけど Gantz いいよね。え? 駄目? あれ はガキの読むもん? そうですかどうもすみません。ゆるしてくだちい。僕はア フォの坂田さんが素敵だと思います。
絵づらは山本直樹臭が残る昔のほうが好きでした。
お、いっけんその筋の専門家みたいだ。
光学迷彩といえば、狩りのすきな異星人とか、 変な格好で街に繰り出す人舘とかが有名ですが*1、そんな大 げさなことをしなくても、ヘルメットとジャケットとバーテープ変えたら走っ ていても誰にも発見されなくなりました。A とか書いてあるジャケットはパー ルイズミ (仮称) との違いがよくわかりませんでした。あ、ポケットの数が多 いのは便利だな。キシリウムとコスミックの違いが分からないひともいるらし いのでまあ勘弁してください。
ひさしぶりに早朝走ったら、真っ黒いジャージで後ろ向き歩きする敵とか、護 衛用に大量の犬をひきつれている敵 (しかも護衛の制御は無線式) とかがあい かわらずたくさんいて、なかなか手強そうでした。でも Cateye HL-EL-510 と かいうのを使ったら、そんな奴らも一撃でした。ナウなヤングに大人気で千駄ヶ 谷で売り切れなのもうなずけます。3年ぶりに買い換えたらえらい進歩してい て驚きました。Cateye (仮称) 嫌いだけどね。電気系統は良いけど機械系の設 計がヘボス。
54.5kg
*1) 1年のうちおきなわ1週間前にしか練習しないという舘の悪い習性で有名な 高野 (実名) はここの出身なわけですが。